CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 数字钟

搜索资源列表

  1. 多功能数字钟设计

    1下载:
  2. 我做课程设计时候所设计出的数字钟电路,实现分、秒计时,异步复位、暂停功能,已经在板子上面实现。和大家分享,一起进步!
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-15
    • 文件大小:182477
    • 提供者:chenlu1986
  1. vhdl 数字钟

    0下载:
  2. 具有校时校分报时的数字钟
  3. 所属分类:源码下载

  1. FPGA、VHDL数字钟

    0下载:
  2. 所属分类:源码下载

  1. vhdl_clock.rar

    0下载:
  2. VHDL数字钟设计程序 设计要求 基本要求: 1、24小时计数显示; 2、具有校时功能(时,分) ; 附加要求: 1、实现闹钟功能(定时,闹响);,VHDL digital clock design process design requirements for the basic requirements: 1,24 hours count display 2, with a school function (hours, minutes) additio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7858
    • 提供者:孙超
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. 1234

    0下载:
  2. 多功能数字钟,、在quartus 2环境中编译通过; 4、仿真通过并得到正确的波形; 5、给出相应的设计报告 -Multifunction digital clock, in the quartus 2 compiler environment through 4, simulation through and get the correct waveform 5, gives the design report
  3. 所属分类:assembly language

    • 发布日期:2017-05-03
    • 文件大小:1188585
    • 提供者:陈飞
  1. VHDLclock

    0下载:
  2. 这是用VHDL语言编写的数字钟。可以设置时分秒,还可以整点报时。-This is the VHDL language with the digital clock. When every minute can be set, but also the entire point of time.
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:1303
    • 提供者:Henry
  1. EDA

    0下载:
  2. 数字钟的实现 FPGA上运行 VHDL编写-Digital clock running on the FPGA to achieve the preparation of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:17139867
    • 提供者:周蕾
  1. shuzizhong

    0下载:
  2. 设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。 实验平台: 1. 一台PC机; 2. MAX+PLUSII10.1。 Verilog HDL语言实现,还有完整的实验报告-The design of a can be hours, minutes, seconds time of 12 hours or 24 hours system, d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:425747
    • 提供者:盼盼
  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. workhard

    0下载:
  2. 数字钟 可实现正常计数校准 还有方电台报时功能 四低一高 闹钟功能-Digital clock can be calibrated to achieve a normal count timekeeping function of the radio side there are four low and one high alarm
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1274117
    • 提供者:张慧玲
  1. digital_clk

    0下载:
  2. 此程序是实现数字钟的,包括校时 闹钟 二十四小时和十二小时的转换-This procedure is to achieve digital clock, including the school alarm clock 24 hours and 12 hours the conversion
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:576692
    • 提供者:daigunagzhi
  1. EDA

    0下载:
  2. 基于VHDL语言,用Top_Down的思想进行设计的数字钟。-Based on the VHDL language, using design thinking Top_Down the digital clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:207404
    • 提供者:liyongfeng
  1. VHDL_for_clock

    0下载:
  2. 基于VHDL语言的数字钟设计,附有完整的程序代码,并有仿真结果。-VHDL-based digital clock design, with a complete code, and have the simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:50700
    • 提供者:zpqmal
  1. e7v4

    0下载:
  2. 数字钟:显示,设置时间,设置闹铃(报时),秒表。 平台:quartusII 5.1。 说明:此版本中已将系统时钟调快,自己稍微改动一下即可,小小的考验,做出来会更有成就感!-digital clock:display time, set time, set alarm(use speaker to alarm), stopwatch. platform: quartusII 5.1 comment: there s a place to change if you want th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:2020596
    • 提供者:kn
  1. SZZ

    0下载:
  2. 这是一个数字钟的VHDL语言,具有调时、调秒、调分功能,同时,还具有十二小时制向二十四小时制切换功能-This is a digital clock in VHDL language, with a tune, the tone seconds and sub-transfer function, while the system also has 12 hours to 24 hours the system switching function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:852262
    • 提供者:蔡斌
  1. 数字钟

    0下载:
  2. fpga课程中用vhdl语言编写的数字钟 输出到板子上是,就是一个数字时钟
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-22
    • 文件大小:10345585
    • 提供者:lynnyu@yeah.net
  1. 数字钟(8)

    0下载:
  2. 数字钟(总)整点报时,8位数码管显示。VHDL语言设计。。。。(Digital clock (total) the whole point timekeeping, 8 digital display. VHDL language design....)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:2002944
    • 提供者:tws1011
  1. 数字钟设计

    0下载:
  2. 1.蜂鸣器整点报时 2.clr清零端,按下全部归零 3.使能端,按下使能端,数字钟停止,放开使能端,数字钟恢复(A digital clock, with a buzzer, a reset button, and an end.)
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:496640
    • 提供者:213qeqe
  1. 课程设计-数字钟

    2下载:
  2. 具有计时 设置时间 闹钟 秒表 功能的数字钟设计 外设矩阵键盘(Digital clock design peripheral matrix keyboard with the function of timing setting time alarm clock stopwatch)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-15
    • 文件大小:13769728
    • 提供者:peennnnnn
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com